首页 > > 从黑科技到超级工程 > 第61章 从拨号到ADSL

第61章 从拨号到ADSL(2/2)

目录
好书推荐: 武侠:开局成为林平之,割以永治? 我有无数传承,出手就是上限 重回1986小山村 总裁别虐了夫人已重生 万族之尊 柯南,但是酒厂 祖宗保佑:我建立了千年世家 四宝来袭,战爷娇妻是大佬 一人之下:我,张之维,嚣张的张 大唐之最强皇太孙李象

从黑科技到超级工程第61章 从拨号到ADSL:准备有声小说在线收听

“废话,带这个硅胶头套多傻啊……”

叶铭说完,带上头套,闭上眼睛。

片刻后,他举起手。

机械臂也随之举起了手。

一旁,彭小飞举着手机,夸张地大喊。

“朋友们,我用意念控制了机械臂!”

……

虽然这个没有什么“内容”的视频注定很短,但彭小飞还是前前后后配合着叶铭拍了接近半个小时。

或者反过来说,叶铭配合彭小飞拍了半个小时。

直到彭小飞电话响起——他高中的一个学姐来找他,邀请他一起回家,这才作罢。

于是,叶铭送走彭小飞后关上了实验室大门,然后重新带上了头套。

他在心底呼唤。

“伊塔。”

“我在。”

“代码思考好没有?”

“一切k。”

“那你来。”

说完,叶铭便闭上眼睛,“享受”起了头皮的阵阵酥麻。

不得不说,这比用那什么灵魂提取器爽多了。

而在他前面的显示器上,一行行代码正在迅速地、自动地生成——速度相比最初的时候快了起码几倍都不止!

这其中,既有经过这么多天的ai和算法的迭代,对叶铭的脑信号识别越来越精准的原因,也有伊塔找到了最佳共振放电电压和频率的因素。

如果说一开始的“网速”相当于拨号上网,那么现在,就有点类似于adsl了。

叶铭粗略计算过,按照目前的频率,每一秒,大概能传输2k的字节——虽然比拨号上网还要慢太多,但已经足够让伊塔快速地完成工作了。

于是乎,就在这一闭眼的功夫,伊塔便完成了代码输出。

“搞定了,你调试一下。”

“嗯。”

在修复了两个格式问题后,调试通过,弹出了一个大大的,占据了满屏的界面。

嗯,这很有叶铭的简约风格。

界面上,是一个输入框,后面有一个上传的按钮。

叶铭深吸了一口气,开始给头套换上放电电极,完成后他开始在输入框中输入汉字。

“你好,伊塔”

发送。

几乎是同时,他感觉到了脑袋上电极放电的略微酥麻感。

片刻后,他的脑海中传来伊塔的声音。

“收到。你好,叶铭。”

叶铭嘴角微微一笑,再次深吸一口气。

他将一张图片拖进输入框。

用2kb/s的网速来传图片,这已经是他能想到的最极限操作了。

书页 目录
新书推荐: 穿书七零:冷面军少夜夜洗床单 陆星晚裴宴臣 温宁陆进扬 婚心叵测 非正常女天师 超时空投喂李秀宁,我成大唐驸马 超时空投喂李秀宁,我成大唐驸马 炮灰女配被扑倒了「快穿」 官嫂 离婚后,真千金她带球跑了
返回顶部